2018-09-01から1ヶ月間の記事一覧

MSX1FPGA音源改造

MSX1FPGAのSCC音源を無効にして、DCSG音源であるSN76489を移植してみました。 https://code.google.com/p/mist-board/source/browse/#svn%2Ftrunk%2Fcores%2Fsms%2Fsrc 基本的には既存のPSGを真似して、I/Oポート&H3F、&H7FにDCSGを実装するだけです。 msx.v…